آی پی امداد
آی پی امداد
آریا الکترونیک parcham تکشو

درخواست: کمک برای افزایش محدوده تست ای سی برای تستر ای سی با AVR

dr.mohammad

کاربر
2014-12-02
31
17
سلام خدمت اساتید و مهندسان گرامی
من در نظر دارم برنامه پروژه تستر ای سی رو ارتقاع بدم به صورتی که بیشتر ای سی های TTL را بتونه تست کنه.
ولی چون بنده برنامه قبلی را ننوشته ام نیاز به کمک شما دارم تا الگوریتم معرفی تک تک ای سی ها را در برنامه بدست بیاورم بلکه بتوانم ای سی های دیگری داخل برنامه معرفی کنم بنده زیاد تلاش کردم سر از این برنامه در بیاورم که متاسفانه با اطلاعات کم در زمینه برنامه نویسی AVR نتوانستم پیشرفتی در برنامه انجام بدم.

ممنون میشم تک تک دوستان کمک کنند تا برنامه ان شاالله تکمیل بشود.بعد از تکمیل شدن و تست مدار بنده مدار کامل با برنامه را برای دانشجویان عزیز برای استفاده در پروژه کاردانی یا کارشناسی میفرستم.

سورس برنامه:​

کد:
[LEFT][INDENT=2]$regfile = "m32def.dat"[/INDENT]

[INDENT=2]$crystal = 8000000[/INDENT]

[INDENT=2]Config Lcd = 20 * 4[/INDENT]

[INDENT=2]Config Lcdpin = Pin , Db4 = Pina.7 , Db5 = Pinc.7 , Db6 = Pinc.6 , Db7 = Pinc.5 , Rs = Pina.5 , E = Pina.6[/INDENT]

[INDENT=2]Config Adc = Single , Prescaler = Auto , Reference = Avcc[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Cursor Off[/INDENT]

[INDENT=2]Declare Sub A7404[/INDENT]

[INDENT=2]Declare Sub A7402[/INDENT]

[INDENT=2]Declare Sub A7400[/INDENT]

[INDENT=2]Declare Sub A7408[/INDENT]

[INDENT=2]Declare Sub A7432[/INDENT]

[INDENT=2]Declare Sub A74810[/INDENT]

[INDENT=2]Declare Sub A7486[/INDENT]

[INDENT=2]Declare Sub A7427[/INDENT]

[INDENT=2]Declare Sub A7410[/INDENT]

[INDENT=2]Declare Sub A7411[/INDENT]

[INDENT=2]Declare Sub A744002[/INDENT]

[INDENT=2]Declare Sub A7420[/INDENT]

[INDENT=2]Declare Sub A7421[/INDENT]

[INDENT=2]Declare Sub A74573[/INDENT]

[INDENT=2]Declare Sub A74595[/INDENT]

[INDENT=2]Declare Sub A7447[/INDENT]

[INDENT=2]Declare Sub A74147[/INDENT]

[INDENT=2]Declare Sub A74148[/INDENT]

[INDENT=2]Declare Sub A74194[/INDENT]

[INDENT=2]Declare Sub A74259[/INDENT]

[INDENT=2]Declare Sub A74109[/INDENT]

[INDENT=2]Declare Sub A74541[/INDENT]

[INDENT=2]Declare Sub A74154[/INDENT]

[INDENT=2]Declare Sub Gate2in[/INDENT]

[INDENT=2]Declare Sub Gate3in[/INDENT]

[INDENT=2]Declare Sub Gate4in[/INDENT]

[INDENT=2]Declare Sub Show2[/INDENT]

[INDENT=2]Declare Sub Show3[/INDENT]

[INDENT=2]Declare Sub Show4[/INDENT]

[INDENT=2]Declare Sub Test2[/INDENT]

[INDENT=2]Declare Sub Test3[/INDENT]

[INDENT=2]Declare Sub Test4[/INDENT]

[INDENT=2]Declare Sub Paye[/INDENT]

[INDENT=2]Declare Sub Res[/INDENT]

[INDENT=2]Declare Sub Chek[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Dim A As Word , B As Byte , C As Long , M4 As Bit , Adc2 As Word , Adc3 As Byte[/INDENT]

[INDENT=2]Dim G1 As Bit , G2 As Bit , G3 As Bit , G4 As Bit , G5 As Bit , G6 As Bit , G7 As Bit , G8 As Bit[/INDENT]

[INDENT=2]Dim M1 As Bit , M2 As Bit , M3 As Bit , Sh As Byte[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Cls[/INDENT]

[INDENT=2]Lcd "     WELL COME     "[/INDENT]

[INDENT=2]Wait 2[/INDENT]

[INDENT=2]Cls[/INDENT]

[INDENT=2]Locate 1 , 1[/INDENT]

[INDENT=2]Lcd "  TTL  IC  TESTER  "[/INDENT]

[INDENT=2]Locate 2 , 1[/INDENT]

[INDENT=2]Lcd "    PRODUCT  BY    "[/INDENT]

[INDENT=2]Locate 3 , 1[/INDENT]

[INDENT=2]Lcd "   Hashem Rajabi   "[/INDENT]

[INDENT=2]Locate 4 , 1[/INDENT]

[INDENT=2]Lcd " Hojjat  Faramarzi "[/INDENT]

[INDENT=2]Wait 4[/INDENT]

[INDENT=2]First:[/INDENT]

[INDENT=2]Config Portd = Input[/INDENT]

[INDENT=2]Config Portb = Input[/INDENT]

[INDENT=2]Config Pina.0 = Input[/INDENT]

[INDENT=2]Config Pina.1 = Input[/INDENT]

[INDENT=2]Config Pina.2 = Input[/INDENT]

[INDENT=2]Config Pina.3 = Input[/INDENT]

[INDENT=2]Config Pina.4 = Input[/INDENT]

[INDENT=2]Config Pinc.0 = Input[/INDENT]

[INDENT=2]Config Pinc.1 = Input[/INDENT]

[INDENT=2]Config Pinc.2 = Input[/INDENT]

[INDENT=2]Config Pinc.3 = Input[/INDENT]

[INDENT=2]Config Pinc.4 = Input[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Cls[/INDENT]

[INDENT=2]Lcd "  TTL  IC  TESTER  "[/INDENT]

[INDENT=2]Locate 2 , 1[/INDENT]

[INDENT=2]Lcd "IC KODE:"[/INDENT]

[INDENT=2]G1 = 0 : G2 = 0 : G3 = 0 : M4 = 0 : C = 0 : Sh = 0[/INDENT]

[INDENT=2]Waitms 400[/INDENT]

[INDENT=2]Do[/INDENT]

[INDENT=2]   R:[/INDENT]

[INDENT=2]   A = Getadc(4)[/INDENT]

[INDENT=2]   Delay[/INDENT]

[INDENT=2]   If M4 = 1 And A < 220 Then M4 = 0[/INDENT]

[INDENT=2]   If A < 220 Or M4 = 1 Then Goto R[/INDENT]

[INDENT=2]   Waitms 250[/INDENT]

[INDENT=2]   A = Getadc(4)[/INDENT]

[INDENT=2]   Delay[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If M4 = 1 And A < 116 Then M4 = 0[/INDENT]

[INDENT=2]   If A < 314 And A > 310 Then A = 0                        '1                     '[/INDENT]

[INDENT=2]   If A < 915 And A > 912 Then A = 1                        '2[/INDENT]

[INDENT=2]   If A < 416 And A > 413 Then A = 2                        '3[/INDENT]

[INDENT=2]   If A < 318 And A > 314 Then A = 3                        '4[/INDENT]

[INDENT=2]   If A < 951 And A > 945 Then A = 4                        '5[/INDENT]

[INDENT=2]   If A < 425 And A > 418 Then A = 5                        '6[/INDENT]

[INDENT=2]   If A < 295 And A > 289 Then A = 6                        '7[/INDENT]

[INDENT=2]   If A < 770 And A > 750 Then A = 7                        '8[/INDENT]

[INDENT=2]   If A < 385 And A > 379 Then A = 8                        '9[/INDENT]

[INDENT=2]   If A < 322 And A > 318 Then A = 9                        'stop[/INDENT]

[INDENT=2]   If A < 997 And A > 993 Then A = 10                       '0[/INDENT]

[INDENT=2]   If A < 435 And A > 425 Then A = 11                       'start[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   B = 0[/INDENT]

[INDENT=2]   B = Lookup(a , Data1)[/INDENT]

[INDENT=2]   If B < 16 Then[/INDENT]

[INDENT=2]      If Sh = 6 Then Goto R[/INDENT]

[INDENT=2]      C = C * 10[/INDENT]

[INDENT=2]      C = C + B[/INDENT]

[INDENT=2]      Incr Sh[/INDENT]

[INDENT=2]      Locate 4 , 6[/INDENT]

[INDENT=2]      Lcd C[/INDENT]

[INDENT=2]      Lcd "   "[/INDENT]

[INDENT=2]      Waitms 50[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]      M4 = 1[/INDENT]

[INDENT=2]   If B = 24 Then[/INDENT]

[INDENT=2]      Rechek:[/INDENT]

[INDENT=2]      If C = 7404 Then Call A7404[/INDENT]

[INDENT=2]      If C = 7402 Then Call A7402[/INDENT]

[INDENT=2]      If C = 7400 Then Call A7400[/INDENT]

[INDENT=2]      If C = 7408 Then Call A7408[/INDENT]

[INDENT=2]      If C = 7486 Then Call A7486[/INDENT]

[INDENT=2]      If C = 7432 Then Call A7432[/INDENT]

[INDENT=2]      If C = 74810 Then Call A74810[/INDENT]

[INDENT=2]      If C = 7427 Then Call A7427[/INDENT]

[INDENT=2]      If C = 7410 Then Call A7410[/INDENT]

[INDENT=2]      If C = 7411 Then Call A7411[/INDENT]

[INDENT=2]      If C = 744002 Then Call A744002[/INDENT]

[INDENT=2]      If C = 7420 Then Call A7420[/INDENT]

[INDENT=2]      If C = 7421 Then Call A7421[/INDENT]

[INDENT=2]      If C = 74573 Then Call A74573[/INDENT]

[INDENT=2]      If C = 74595 Then Call A74595[/INDENT]

[INDENT=2]      If C = 74148 Then Call A74148[/INDENT]

[INDENT=2]      If C = 74259 Then Call A74259[/INDENT]

[INDENT=2]      If C = 74109 Then Call A74109[/INDENT]

[INDENT=2]      If C = 74541 Then Call A74541[/INDENT]

[INDENT=2]      If C = 74154 Then Call A74154[/INDENT]

[INDENT=2]      If C = 74194 Then Call A74194[/INDENT]

[INDENT=2]      If C = 74147 Then Call A74147[/INDENT]

[INDENT=2]      If C = 7447 Or C = 7448 Then Call A7447[/INDENT]

[INDENT=2]      If C <> 0 Then Goto First[/INDENT]

[INDENT=2]   Elseif B = 23 Then[/INDENT]

[INDENT=2]      Goto First[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]Waitms 100[/INDENT]

[INDENT=2]Loop[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  1 - INPUT  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A7404:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "    74HC04   N0T    "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   Nin1a Alias Portb.7[/INDENT]

[INDENT=2]   Nin2a Alias Portb.5[/INDENT]

[INDENT=2]   Nin3a Alias Portb.3[/INDENT]

[INDENT=2]   Nin4a Alias Portc.0[/INDENT]

[INDENT=2]   Nin5a Alias Portd.6[/INDENT]

[INDENT=2]   Nin6a Alias Portd.4[/INDENT]

[INDENT=2]   Nout1 Alias Pinb.6[/INDENT]

[INDENT=2]   Nout2 Alias Pinb.4[/INDENT]

[INDENT=2]   Nout3 Alias Pinb.2[/INDENT]

[INDENT=2]   Nout4 Alias Pinc.1[/INDENT]

[INDENT=2]   Nout5 Alias Pind.7[/INDENT]

[INDENT=2]   Nout6 Alias Pind.5[/INDENT]

[INDENT=2]   Noutg Alias Porta.0[/INDENT]

[INDENT=2]   Waitms 300[/INDENT]

[INDENT=2]   Reset Nin1a : Reset Nin2a : Reset Nin3a : Reset Nin4a : Reset Noutg : Reset Nin5a : Reset Nin6a[/INDENT]

[INDENT=2]   Waitms 300[/INDENT]

[INDENT=2]   If Nout1 = 0 Then G1 = 1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   If Nout2 = 0 Then G2 = 1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   If Nout3 = 0 Then G3 = 1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   If Nout4 = 0 Then G4 = 1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   If Nout5 = 0 Then G5 = 1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   If Nout6 = 0 Then G6 = 1[/INDENT]

[INDENT=2]   Waitms 300[/INDENT]

[INDENT=2]   Set Nin1a[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Set Nin2a[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Set Nin3a[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Set Nin4a[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Set Nin5a[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Set Nin6a[/INDENT]

[INDENT=2]   Waitms 300[/INDENT]

[INDENT=2]   If Nout1 = 1 Then G1 = 1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   If Nout2 = 1 Then G2 = 1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   If Nout3 = 1 Then G3 = 1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   If Nout4 = 1 Then G4 = 1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   If Nout5 = 1 Then G5 = 1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   If Nout6 = 1 Then G6 = 1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G1:1,2 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G1:1,2 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   If G2 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G2:3,4 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G2:3,4 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   If G3 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G3:5,6 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G3:5,6 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 2 , 11[/INDENT]

[INDENT=2]   If G4 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G4:8,9  OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G4:8,9  NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 11[/INDENT]

[INDENT=2]   If G5 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G5:10,11OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G5:10,11NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 4 , 11[/INDENT]

[INDENT=2]   If G6 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G6:12,13OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G6:12,13NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  2 - INPUT  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A7402:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "   74HC02   N0R-2   "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   In1a Alias Portb.6[/INDENT]

[INDENT=2]   In1b Alias Portb.5[/INDENT]

[INDENT=2]   In2a Alias Portb.3[/INDENT]

[INDENT=2]   In2b Alias Portb.2[/INDENT]

[INDENT=2]   In3a Alias Portc.1[/INDENT]

[INDENT=2]   In3b Alias Portc.0[/INDENT]

[INDENT=2]   In4a Alias Portd.6[/INDENT]

[INDENT=2]   In4b Alias Portd.5[/INDENT]

[INDENT=2]   Out1 Alias Pinb.7[/INDENT]

[INDENT=2]   Out2 Alias Pinb.4[/INDENT]

[INDENT=2]   Out3 Alias Pind.7[/INDENT]

[INDENT=2]   Out4 Alias Pind.4[/INDENT]

[INDENT=2]   Outg1 Alias Porta.0[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset In1b : Reset In2a : Reset In1a : Reset In2b : Reset In3a : Reset In3b : Reset In4a : Reset In4b : Reset Outg1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test2[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 1 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G1: 2,3    OUT:1  OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G1: 2,3    OUT:1  NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G2 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G2: 5,6    OUT:4  OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G2: 5,6    OUT:4  NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   If G3 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G3: 8,9    OUT:10 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G3: 8,9    OUT:10 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   If G4 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G3: 11,12  OUT:13 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G3: 11,12  OUT:13 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'----------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A7400:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "   74HC00  NAND-2   "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Gate2in[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Show2[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'-----------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A7408:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "   74HC08   AND-2   "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Gate2in[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Show2[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'----------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A7486:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "   74HC86   XOR-2   "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Gate2in[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Show2[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'----------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A7432:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "   74HC32   OR-2    "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Gate2in[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Show2[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'----------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A74810:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "  74LC810  XNOR-2   "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Gate2in[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Show2[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]'-------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  3 - INPUT  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]Sub A7427:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "   74HC27   NOR-3   "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Gate3in[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test3[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Show3[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'-------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A7410:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "   74HC10  NAND-3   "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Gate3in[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test3[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Show3[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'-----------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A7411:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "   74HC11  AND-3    "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Gate3in[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test3[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Show3[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  4 - INPUT  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A744002:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "  74HC4002   NOR-4  "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   In11a Alias Portb.6[/INDENT]

[INDENT=2]   In11b Alias Portb.5[/INDENT]

[INDENT=2]   In11c Alias Portb.4[/INDENT]

[INDENT=2]   In11d Alias Portb.3[/INDENT]

[INDENT=2]   In22a Alias Portc.0[/INDENT]

[INDENT=2]   In22b Alias Portd.7[/INDENT]

[INDENT=2]   In22c Alias Portd.6[/INDENT]

[INDENT=2]   In22d Alias Portd.5[/INDENT]

[INDENT=2]   Out11 Alias Pinb.7[/INDENT]

[INDENT=2]   Out22 Alias Pind.4[/INDENT]

[INDENT=2]   Outg Alias Porta.0[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset In11b : Reset In11d : Reset In11a : Reset In11c : Reset In22a : Reset In22b : Reset In22d : Reset In22c : Reset Outg[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test4[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G1:2,3,4,5  OUT:1 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G1:2,3,4,5  OUT:1 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   If G2 = 0 Then[/INDENT]

[INDENT=2]      Lcd "   G2: 9,10,11,12   "[/INDENT]

[INDENT=2]      Locate 4 , 1[/INDENT]

[INDENT=2]      Lcd "    Out: 13   Ok    "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "   G2: 9,10,11,12   "[/INDENT]

[INDENT=2]      Locate 4 , 1[/INDENT]

[INDENT=2]      Lcd "    Out: 13   NO    "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'-----------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A7420:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "   74LS20  NAND-4   "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Gate4in[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test4[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Show4[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'----------------------------------------------------------[/INDENT]

[INDENT=2]Sub A7421:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "   74LS21   AND-4   "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Gate4in[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Test4[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Show4[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  16 - PIN  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]Sub A74194:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd " 74HC194  SHIFT-REG "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Cler Alias Portb.7[/INDENT]

[INDENT=2]   Sh_r Alias Portb.6[/INDENT]

[INDENT=2]   Input_a Alias Portb.5[/INDENT]

[INDENT=2]   Input_b Alias Portb.4[/INDENT]

[INDENT=2]   Input_c Alias Portb.3[/INDENT]

[INDENT=2]   Input_d Alias Portb.2[/INDENT]

[INDENT=2]   Sh_l Alias Porta.0[/INDENT]

[INDENT=2]   Erth Alias Porta.1[/INDENT]

[INDENT=2]   S0 Alias Portc.2[/INDENT]

[INDENT=2]   S1 Alias Portc.1[/INDENT]

[INDENT=2]   Clock Alias Portc.0[/INDENT]

[INDENT=2]   Ou_td Alias Pind.7[/INDENT]

[INDENT=2]   Ou_tc Alias Pind.6[/INDENT]

[INDENT=2]   Ou_tb Alias Pind.5[/INDENT]

[INDENT=2]   Ou_ta Alias Pind.4[/INDENT]

[INDENT=2]   G1 = 0[/INDENT]

[INDENT=2]   Reset Erth[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Clock[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Cler[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Ou_ta = 1 Or Ou_tb = 1 Or Ou_tc = 1 Or Ou_td = 1 Then : G1 = 1 : Goto J43 : End If[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Cler[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Input_a[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Input_b[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Input_c[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Input_d[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set S0[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set S1[/INDENT]

[INDENT=2]   Wait 10[/INDENT]

[INDENT=2]   Reset Clock[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Clock[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Ou_ta = 0 Or Ou_tb = 0 Or Ou_tc = 0 Or Ou_td = 0 Then : G1 = 1 : Goto J43 : End If[/INDENT]

[INDENT=2]   Reset Clock[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Input_a[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Input_b[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Input_c[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Input_d[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Clock[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Clock[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Ou_ta = 1 Or Ou_tb = 1 Or Ou_tc = 1 Or Ou_td = 1 Then : G1 = 1 : Goto J43 : End If[/INDENT]

[INDENT=2]   J43:[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "   Shift-Reg   OK   "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "   Shift-Reg   NO   "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   Lcd "Clk:11   Din:3,4,5,6"[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   Lcd "  OUT: 12,13,14,15  "[/INDENT]

[INDENT=2]   Wait 1[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'----------------------------------------------------------[/INDENT]

[INDENT=2]Sub A7447:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   If C = 7447 Then Lcd "74LS47  RUN-7SEG-CA "[/INDENT]

[INDENT=2]   If C = 7448 Then Lcd "74LS48  RUN-7SEG-CC"[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   B_seg Alias Portb.7[/INDENT]

[INDENT=2]   C_seg Alias Portb.6[/INDENT]

[INDENT=2]   Vcc1 Alias Portb.5[/INDENT]

[INDENT=2]   Vcc2 Alias Portb.4[/INDENT]

[INDENT=2]   Vcc3 Alias Portb.3[/INDENT]

[INDENT=2]   D_seg Alias Portb.2[/INDENT]

[INDENT=2]   A_seg Alias Porta.0[/INDENT]

[INDENT=2]   Erth_seg Alias Porta.1[/INDENT]

[INDENT=2]   E_7seg Alias Pinc.2[/INDENT]

[INDENT=2]   D_7seg Alias Pinc.1[/INDENT]

[INDENT=2]   C_7seg Alias Pinc.0[/INDENT]

[INDENT=2]   B_7seg Alias Pind.7[/INDENT]

[INDENT=2]   A_7seg Alias Pind.6[/INDENT]

[INDENT=2]   G_7seg Alias Pind.5[/INDENT]

[INDENT=2]   F_7seg Alias Pind.4[/INDENT]

[INDENT=2]   Reset Erth_seg[/INDENT]

[INDENT=2]   Set Vcc1[/INDENT]

[INDENT=2]   Set Vcc2[/INDENT]

[INDENT=2]   Set Vcc3[/INDENT]

[INDENT=2]   Reset A_seg[/INDENT]

[INDENT=2]   Reset B_seg[/INDENT]

[INDENT=2]   Reset C_seg[/INDENT]

[INDENT=2]   Reset D_seg[/INDENT]

[INDENT=2]   G1 = 0[/INDENT]

[INDENT=2]   Waitms 400[/INDENT]

[INDENT=2]   If C = 7447 Then[/INDENT]

[INDENT=2]      If G_7seg = 0 Or A_7seg = 1 Or B_7seg = 1 Or C_7seg = 1 Or D_7seg = 1 Or E_7seg = 1 Or F_7seg = 1 Then : G1 = 1 : Goto J43t : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]      Set A_seg[/INDENT]

[INDENT=2]      Waitms 300[/INDENT]

[INDENT=2]      If G_7seg = 0 Or A_7seg = 0 Or B_7seg = 1 Or C_7seg = 1 Or D_7seg = 0 Or E_7seg = 0 Or F_7seg = 0 Then : G1 = 1 : Goto J43t : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]      Reset A_seg[/INDENT]

[INDENT=2]      Set B_seg[/INDENT]

[INDENT=2]      Waitms 300[/INDENT]

[INDENT=2]      If G_7seg = 1 Or A_7seg = 1 Or B_7seg = 1 Or C_7seg = 0 Or D_7seg = 1 Or E_7seg = 1 Or F_7seg = 0 Then : G1 = 1 : Goto J43t : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]      Reset B_seg[/INDENT]

[INDENT=2]      Set C_seg[/INDENT]

[INDENT=2]      Waitms 300[/INDENT]

[INDENT=2]      If G_7seg = 1 Or A_7seg = 0 Or B_7seg = 1 Or C_7seg = 1 Or D_7seg = 0 Or E_7seg = 0 Or F_7seg = 1 Then : G1 = 1 : Goto J43t : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]      Reset C_seg[/INDENT]

[INDENT=2]      Set D_seg[/INDENT]

[INDENT=2]      Waitms 300[/INDENT]

[INDENT=2]      If G_7seg = 1 Or A_7seg = 1 Or B_7seg = 1 Or C_7seg = 1 Or D_7seg = 1 Or E_7seg = 1 Or F_7seg = 1 Then : G1 = 1 : Goto J43t : End If[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 7448 Then[/INDENT]

[INDENT=2]      If G_7seg = 1 Or A_7seg = 0 Or B_7seg = 0 Or C_7seg = 0 Or D_7seg = 0 Or E_7seg = 0 Or F_7seg = 0 Then : G1 = 1 : Goto J43t : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]      Set A_seg[/INDENT]

[INDENT=2]      Waitms 300[/INDENT]

[INDENT=2]      If G_7seg = 1 Or A_7seg = 1 Or B_7seg = 0 Or C_7seg = 0 Or D_7seg = 1 Or E_7seg = 1 Or F_7seg = 1 Then : G1 = 1 : Goto J43t : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]      Reset A_seg[/INDENT]

[INDENT=2]      Set B_seg[/INDENT]

[INDENT=2]      Waitms 300[/INDENT]

[INDENT=2]      If G_7seg = 0 Or A_7seg = 0 Or B_7seg = 0 Or C_7seg = 1 Or D_7seg = 0 Or E_7seg = 0 Or F_7seg = 1 Then : G1 = 1 : Goto J43t : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]      Reset B_seg[/INDENT]

[INDENT=2]      Set C_seg[/INDENT]

[INDENT=2]      Waitms 300[/INDENT]

[INDENT=2]      If G_7seg = 0 Or A_7seg = 1 Or B_7seg = 0 Or C_7seg = 0 Or D_7seg = 1 Or E_7seg = 1 Or F_7seg = 0 Then : G1 = 1 : Goto J43t : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]      Reset C_seg[/INDENT]

[INDENT=2]      Set D_seg[/INDENT]

[INDENT=2]      Waitms 300[/INDENT]

[INDENT=2]      If G_7seg = 0 Or A_7seg = 0 Or B_7seg = 0 Or C_7seg = 0 Or D_7seg = 0 Or E_7seg = 0 Or F_7seg = 0 Then : G1 = 1 : Goto J43t : End If[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   J43t:[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "  DRIVER-7SEG   OK  "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "  DRIVER-7SEG   OK  "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   Lcd " IN: 1,2,3,4,5,6,7  "[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   Lcd "  OUT: 9,10,...,15  "[/INDENT]

[INDENT=2]   Wait 1[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'-------------------------------------------------------[/INDENT]

[INDENT=2]Sub A74147:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd " 74HC147   ENCODER "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Far1 Alias Portc.0[/INDENT]

[INDENT=2]   Far2 Alias Portd.7[/INDENT]

[INDENT=2]   Far3 Alias Portd.6[/INDENT]

[INDENT=2]   Far4 Alias Portb.7[/INDENT]

[INDENT=2]   Far5 Alias Portb.6[/INDENT]

[INDENT=2]   Far6 Alias Portb.5[/INDENT]

[INDENT=2]   Far7 Alias Portb.4[/INDENT]

[INDENT=2]   Far8 Alias Portb.3[/INDENT]

[INDENT=2]   Far9 Alias Portc.1[/INDENT]

[INDENT=2]   Outt1 Alias Pinc.2[/INDENT]

[INDENT=2]   Outt2 Alias Pina.0[/INDENT]

[INDENT=2]   Outt3 Alias Pinb.2[/INDENT]

[INDENT=2]   Outt4 Alias Pind.5[/INDENT]

[INDENT=2]   Erthh Alias Porta.1[/INDENT]

[INDENT=2]   Reset Erthh[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far2[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far3[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far4[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far5[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far6[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far7[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far8[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far9[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Outt1 = 0 Or Outt2 = 0 Or Outt3 = 0 Or Outt4 = 0 Then : G1 = 1 : Goto J44 : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Reset Far8[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Outt1 = 0 Or Outt2 = 0 Or Outt3 = 0 Or Outt4 = 1 Then : G1 = 1 : Goto J44 : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Far4[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far8[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Outt1 = 0 Or Outt2 = 0 Or Outt3 = 1 Or Outt4 = 0 Then : G1 = 1 : Goto J44 : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Far2[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far4[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Outt1 = 0 Or Outt2 = 1 Or Outt3 = 0 Or Outt4 = 0 Then : G1 = 1 : Goto J44 : End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Far1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Far2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Outt1 = 1 Or Outt2 = 0 Or Outt3 = 0 Or Outt4 = 0 Then : G1 = 1 : Goto J44 : End If[/INDENT]

[INDENT=2]   J44:[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "   Shift-Reg   OK   "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "   Shift-Reg   NO   "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   Lcd "in:11,12,13,1,..,5,9"[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   Lcd "   OUT:  9,7,6,14   "[/INDENT]

[INDENT=2]   Wait 1[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]'----------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A74595:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd " 74HC595  SHIFT-REG "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Din Alias Portd.5[/INDENT]

[INDENT=2]   Clk1 Alias Portc.0[/INDENT]

[INDENT=2]   Clk2 Alias Portd.7[/INDENT]

[INDENT=2]   Mr Alias Portc.1[/INDENT]

[INDENT=2]   Oe Alias Portd.6[/INDENT]

[INDENT=2]   Out_1 Alias Pind.4[/INDENT]

[INDENT=2]   Out_2 Alias Pinb.7[/INDENT]

[INDENT=2]   Out_3 Alias Pinb.6[/INDENT]

[INDENT=2]   Out_4 Alias Pinb.5[/INDENT]

[INDENT=2]   Out_5 Alias Pinb.4[/INDENT]

[INDENT=2]   Out_6 Alias Pinb.3[/INDENT]

[INDENT=2]   Out_7 Alias Pinb.2[/INDENT]

[INDENT=2]   Out_8 Alias Pina.0[/INDENT]

[INDENT=2]   Out_9 Alias Pinc.2[/INDENT]

[INDENT=2]   Out_g Alias Porta.1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Din : Reset Clk1 : Reset Clk2 : Reset Mr : Reset Oe : Reset Out_g[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 1 Or Out_2 = 1 Or Out_3 = 1 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Mr[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 1 Or Out_2 = 1 Or Out_3 = 1 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Set Din[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Clk1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Set Clk2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Clk1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Reset Clk2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Din[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 0 Or Out_2 = 1 Or Out_3 = 1 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 1 Or Out_2 = 0 Or Out_3 = 1 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 1 Or Out_2 = 1 Or Out_3 = 0 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 1 Or Out_2 = 1 Or Out_3 = 1 Or Out_4 = 0 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 1 Or Out_2 = 1 Or Out_3 = 1 Or Out_4 = 1 Or Out_5 = 0 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 1 Or Out_2 = 1 Or Out_3 = 1 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 0 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 1 Or Out_2 = 1 Or Out_3 = 1 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 0 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   If Out_1 = 1 Or Out_2 = 1 Or Out_3 = 1 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 0 Or Out_9 = 0 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 1 Or Out_2 = 1 Or Out_3 = 1 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   Reset Mr : Waitms 200 : Call Chek : Wait 1 : Set Mr : Set Din[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Clk1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Set Clk2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Clk1[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Reset Clk2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 0 Or Out_2 = 1 Or Out_3 = 1 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 0 Or Out_2 = 0 Or Out_3 = 1 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 0 Or Out_2 = 0 Or Out_3 = 0 Or Out_4 = 1 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 0 Or Out_2 = 0 Or Out_3 = 0 Or Out_4 = 0 Or Out_5 = 1 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 0 Or Out_2 = 0 Or Out_3 = 0 Or Out_4 = 0 Or Out_5 = 0 Or Out_6 = 1 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 0 Or Out_2 = 0 Or Out_3 = 0 Or Out_4 = 0 Or Out_5 = 0 Or Out_6 = 0 Or Out_7 = 1 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 0 Or Out_2 = 0 Or Out_3 = 0 Or Out_4 = 0 Or Out_5 = 0 Or Out_6 = 0 Or Out_7 = 0 Or Out_8 = 1 Or Out_9 = 1 Then : G1 = 1 : Goto J16 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out_1 = 0 Or Out_2 = 0 Or Out_3 = 0 Or Out_4 = 0 Or Out_5 = 0 Or Out_6 = 0 Or Out_7 = 0 Or Out_8 = 0 Or Out_9 = 0 Then G1 = 1[/INDENT]

[INDENT=2]   J16:[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "   Shift-Reg   OK   "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "   Shift-Reg   NO   "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   Lcd "Clk: 11,12   Din:14 "[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   Lcd "  OUT: 15,1,...,7   "[/INDENT]

[INDENT=2]   Reset Mr[/INDENT]

[INDENT=2]   Call Chek[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Wait 1[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A74148:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "  74HC148  ENCODER  "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   El Alias Portb.3[/INDENT]

[INDENT=2]   In1 Alias Portc.1[/INDENT]

[INDENT=2]   In2 Alias Portc.0[/INDENT]

[INDENT=2]   In3 Alias Portd.7[/INDENT]

[INDENT=2]   In4 Alias Portd.6[/INDENT]

[INDENT=2]   In5 Alias Portb.7[/INDENT]

[INDENT=2]   In6 Alias Portb.6[/INDENT]

[INDENT=2]   In7 Alias Portb.5[/INDENT]

[INDENT=2]   In8 Alias Portb.4[/INDENT]

[INDENT=2]   1_out Alias Pinc.2[/INDENT]

[INDENT=2]   2_out Alias Pina.0[/INDENT]

[INDENT=2]   3_out Alias Pinb.2[/INDENT]

[INDENT=2]   Outgs Alias Portd.5[/INDENT]

[INDENT=2]   Outeo Alias Portd.4[/INDENT]

[INDENT=2]   Outgra Alias Porta.1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset El : Reset In1 : Reset In2 : Reset In3 : Reset In4 : Reset In5 : Reset In6 : Reset In7 : Reset In8 : Reset Outgra : Reset Outeo : Reset Outgs[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 1_out = 1 Or 2_out = 1 Or 3_out = 1 Or Outgs = 1 Or Outeo = 0 Then : G1 = 1 : Goto Enc1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set El[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 1_out = 0 Or 2_out = 0 Or 3_out = 0 Or Outgs = 0 Or Outeo = 0 Then : G1 = 1 : Goto Enc1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset El[/INDENT]

[INDENT=2]   Set In7[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 1_out = 1 Or 2_out = 1 Or 3_out = 0 Or Outgs = 1 Or Outeo = 0 Then : G1 = 1 : Goto Enc1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set In6[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 1_out = 1 Or 2_out = 0 Or 3_out = 1 Or Outgs = 1 Or Outeo = 0 Then : G1 = 1 : Goto Enc1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set In5[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 1_out = 1 Or 2_out = 0 Or 3_out = 0 Or Outgs = 1 Or Outeo = 0 Then : G1 = 1 : Goto Enc1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set In4[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 1_out = 0 Or 2_out = 1 Or 3_out = 1 Or Outgs = 1 Or Outeo = 0 Then : G1 = 1 : Goto Enc1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set In3[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 1_out = 0 Or 2_out = 1 Or 3_out = 0 Or Outgs = 1 Or Outeo = 0 Then : G1 = 1 : Goto Enc1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set In2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 1_out = 0 Or 2_out = 0 Or 3_out = 1 Or Outgs = 1 Or Outeo = 0 Then : G1 = 1 : Goto Enc1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set In1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 1_out = 0 Or 2_out = 0 Or 3_out = 0 Or Outgs = 1 Or Outeo = 0 Then G1 = 1[/INDENT]

[INDENT=2]   Enc1:[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "  Encoder 8-3   OK  "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "  Encoder 8-3   NO  "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   Lcd "IN:10,...,13,1,...,4"[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   Lcd "  OUT: 6,7,9,14,15  "[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A74259:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "74HC259  DECODER 1-8"[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   In_a Alias Portb.7[/INDENT]

[INDENT=2]   In_b Alias Portb.6[/INDENT]

[INDENT=2]   In_c Alias Portb.5[/INDENT]

[INDENT=2]   In_d Alias Portd.6[/INDENT]

[INDENT=2]   Inre Alias Portd.4[/INDENT]

[INDENT=2]   Inen Alias Portd.5[/INDENT]

[INDENT=2]   Q1 Alias Pinb.4[/INDENT]

[INDENT=2]   Q2 Alias Pinb.3[/INDENT]

[INDENT=2]   Q3 Alias Pinb.2[/INDENT]

[INDENT=2]   Q4 Alias Pina.0[/INDENT]

[INDENT=2]   Q5 Alias Pinc.2[/INDENT]

[INDENT=2]   Q6 Alias Pinc.1[/INDENT]

[INDENT=2]   Q7 Alias Pinc.0[/INDENT]

[INDENT=2]   Q8 Alias Pind.7[/INDENT]

[INDENT=2]   Egnd Alias Porta.1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset In_a : Reset In_b : Reset In_c : Reset In_d : Set Inre : Reset Inen : Reset Egnd[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q1 = 1 Or Q2 = 1 Or Q3 = 1 Or Q4 = 1 Or Q5 = 1 Or Q6 = 1 Or Q7 = 1 Or Q8 = 1 Then : G1 = 1 : Goto Dec1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set In_d[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q1 = 0 Or Q2 = 1 Or Q3 = 1 Or Q4 = 1 Or Q5 = 1 Or Q6 = 1 Or Q7 = 1 Or Q8 = 1 Then : G1 = 1 : Goto Dec1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set In_a[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q1 = 1 Or Q2 = 0 Or Q3 = 1 Or Q4 = 1 Or Q5 = 1 Or Q6 = 1 Or Q7 = 1 Or Q8 = 1 Then : G1 = 1 : Goto Dec1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set In_b[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q1 = 1 Or Q2 = 1 Or Q3 = 1 Or Q4 = 0 Or Q5 = 1 Or Q6 = 1 Or Q7 = 1 Or Q8 = 1 Then : G1 = 1 : Goto Dec1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set In_c[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q1 = 1 Or Q2 = 1 Or Q3 = 1 Or Q4 = 1 Or Q5 = 1 Or Q6 = 1 Or Q7 = 1 Or Q8 = 0 Then : G1 = 1 : Goto Dec1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset In_a[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q1 = 1 Or Q2 = 1 Or Q3 = 1 Or Q4 = 1 Or Q5 = 1 Or Q6 = 1 Or Q7 = 0 Or Q8 = 1 Then : G1 = 1 : Goto Dec1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set In_a[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Reset In_b[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q1 = 1 Or Q2 = 1 Or Q3 = 1 Or Q4 = 1 Or Q5 = 1 Or Q6 = 0 Or Q7 = 1 Or Q8 = 1 Then : G1 = 1 : Goto Dec1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset In_a[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q1 = 1 Or Q2 = 1 Or Q3 = 1 Or Q4 = 1 Or Q5 = 0 Or Q6 = 1 Or Q7 = 1 Or Q8 = 1 Then : G1 = 1 : Goto Dec1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset In_c[/INDENT]

[INDENT=2]   Waitms 20[/INDENT]

[INDENT=2]   Set In_b[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q1 = 1 Or Q2 = 1 Or Q3 = 0 Or Q4 = 1 Or Q5 = 1 Or Q6 = 1 Or Q7 = 1 Or Q8 = 1 Then : G1 = 1 : Goto Dec1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Inen[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q1 = 1 Or Q2 = 1 Or Q3 = 0 Or Q4 = 1 Or Q5 = 1 Or Q6 = 1 Or Q7 = 1 Or Q8 = 1 Then G1 = 1[/INDENT]

[INDENT=2]   Dec1:[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "  Decoder 1-8   OK  "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "  Decoder 1-8   NO  "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   Lcd " IN: 1,2,3,13,14,15 "[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   Lcd "OUT: 4,..,7,9,...,12"[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A74109:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "74HC109  DUAL JK-F.F"[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   Inj1 Alias Portb.6[/INDENT]

[INDENT=2]   Inj2 Alias Portd.5[/INDENT]

[INDENT=2]   Insd1 Alias Portb.3[/INDENT]

[INDENT=2]   Insd2 Alias Portc.0[/INDENT]

[INDENT=2]   Clock1 Alias Portb.4[/INDENT]

[INDENT=2]   Clock2 Alias Portd.7[/INDENT]

[INDENT=2]   Ink1 Alias Portb.5[/INDENT]

[INDENT=2]   Ink2 Alias Portd.6[/INDENT]

[INDENT=2]   Inrd1 Alias Portb.7[/INDENT]

[INDENT=2]   Inrd2 Alias Portd.4[/INDENT]

[INDENT=2]   Q11 Alias Pinb.2[/INDENT]

[INDENT=2]   Q22 Alias Pina.0[/INDENT]

[INDENT=2]   Q33 Alias Pinc.1[/INDENT]

[INDENT=2]   Q44 Alias Pinc.2[/INDENT]

[INDENT=2]   Egnd1 Alias Porta.1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Inj1 : Reset Inj2 : Reset Insd1 : Reset Insd2 : Reset Clock2 : Reset Clock1 : Reset Ink1 : Reset Ink2 : Reset Inrd1 : Reset Inrd2 : Reset Egnd1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q11 = 0 Or Q22 = 0 Then G1 = 1[/INDENT]

[INDENT=2]   If Q33 = 0 Or Q44 = 0 Then G2 = 1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Insd1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Insd2[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Inrd1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Inrd2[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Clock1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Clock2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q11 = 1 Or Q22 = 0 Then G1 = 1[/INDENT]

[INDENT=2]   If Q33 = 1 Or Q44 = 0 Then G2 = 1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Clock1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Clock2[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Inj1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Inj2[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Ink1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Ink2[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Clock1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Clock2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q11 = 0 Or Q22 = 1 Then G1 = 1[/INDENT]

[INDENT=2]   If Q33 = 0 Or Q44 = 1 Then G2 = 1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Insd1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Insd2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Q11 = 0 Or Q22 = 1 Then G1 = 1[/INDENT]

[INDENT=2]   If Q33 = 0 Or Q44 = 1 Then G2 = 1[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "JK-FF1 OK "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "JK-FF1 NO "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 2 , 12[/INDENT]

[INDENT=2]   If G2 = 0 Then[/INDENT]

[INDENT=2]      Lcd "JK-FF2 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "JK-FF2 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   Lcd " JK-F.F1:  1,...,7  "[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   Lcd " JK-F.F2:  9,...,15 "[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  20 - PIN  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A74573:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "  74HC573  D - F.F  "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   Ind1 Alias Portb.6[/INDENT]

[INDENT=2]   Ex1 Alias Pind.4[/INDENT]

[INDENT=2]   Ind2 Alias Portb.5[/INDENT]

[INDENT=2]   Ex2 Alias Pind.5[/INDENT]

[INDENT=2]   Ind3 Alias Portb.4[/INDENT]

[INDENT=2]   Ex3 Alias Pind.6[/INDENT]

[INDENT=2]   Ind4 Alias Portb.3[/INDENT]

[INDENT=2]   Ex4 Alias Pind.7[/INDENT]

[INDENT=2]   Ind5 Alias Portb.2[/INDENT]

[INDENT=2]   Ex5 Alias Pinc.0[/INDENT]

[INDENT=2]   Ind6 Alias Porta.0[/INDENT]

[INDENT=2]   Ex6 Alias Pinc.1[/INDENT]

[INDENT=2]   Ind7 Alias Porta.1[/INDENT]

[INDENT=2]   Ex7 Alias Pinc.2[/INDENT]

[INDENT=2]   Ind8 Alias Portb.1[/INDENT]

[INDENT=2]   Ex8 Alias Pind.3[/INDENT]

[INDENT=2]   Egnd2 Alias Porta.2[/INDENT]

[INDENT=2]   Inle Alias Portd.2[/INDENT]

[INDENT=2]   Inoe Alias Portb.7[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Portc.3 : Set Portc.4[/INDENT]

[INDENT=2]   Reset Ind1 : Reset Ind2 : Reset Ind3 : Reset Ind4 : Reset Ind6 : Reset Ind5 : Reset Ind7 : Reset Ind8 : Reset Inle : Reset Inoe : Reset Egnd2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Ex1 = 1 Then G1 = 1[/INDENT]

[INDENT=2]   If Ex2 = 1 Then G2 = 1[/INDENT]

[INDENT=2]   If Ex3 = 1 Then G3 = 1[/INDENT]

[INDENT=2]   If Ex4 = 1 Then G4 = 1[/INDENT]

[INDENT=2]   If Ex5 = 1 Then G5 = 1[/INDENT]

[INDENT=2]   If Ex6 = 1 Then G6 = 1[/INDENT]

[INDENT=2]   If Ex7 = 1 Then G7 = 1[/INDENT]

[INDENT=2]   If Ex8 = 1 Then G8 = 1[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set Inle[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If Ex1 = 1 Then G1 = 1[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set Ind1[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If Ex1 = 0 Then G1 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If G2 = 0 Then[/INDENT]

[INDENT=2]      If Ex2 = 1 Then G2 = 1[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set Ind2[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If Ex2 = 0 Then G2 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If G3 = 0 Then[/INDENT]

[INDENT=2]      If Ex3 = 1 Then G3 = 1[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set Ind3[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If Ex3 = 0 Then G3 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If G4 = 0 Then[/INDENT]

[INDENT=2]      If Ex4 = 1 Then G4 = 1[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set Ind4[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If Ex4 = 0 Then G4 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If G5 = 0 Then[/INDENT]

[INDENT=2]      If Ex5 = 1 Then G5 = 1[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set Ind5[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If Ex5 = 0 Then G5 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If G6 = 0 Then[/INDENT]

[INDENT=2]      If Ex6 = 1 Then G6 = 1[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set Ind6[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If Ex6 = 0 Then G6 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If G7 = 0 Then[/INDENT]

[INDENT=2]      If Ex7 = 1 Then G7 = 1[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set Ind7[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If Ex7 = 0 Then G7 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If G8 = 0 Then[/INDENT]

[INDENT=2]      If Ex8 = 1 Then G8 = 1[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set Ind8[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If Ex8 = 0 Then G8 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Wait 5[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "F1: OK "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "F1: NO "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 2 , 8[/INDENT]

[INDENT=2]   If G2 = 0 Then[/INDENT]

[INDENT=2]      Lcd "F2: OK "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "F2: NO "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 2 , 15[/INDENT]

[INDENT=2]   If G3 = 0 Then[/INDENT]

[INDENT=2]      Lcd "F3: OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "F3: NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   If G4 = 0 Then[/INDENT]

[INDENT=2]      Lcd "F4: OK "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "F4: NO "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 3 , 8[/INDENT]

[INDENT=2]   If G5 = 0 Then[/INDENT]

[INDENT=2]      Lcd "F5: OK "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "F5: NO "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 3 , 15[/INDENT]

[INDENT=2]   If G6 = 0 Then[/INDENT]

[INDENT=2]      Lcd "F6: OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "F6: NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 4 , 3[/INDENT]

[INDENT=2]   If G7 = 0 Then[/INDENT]

[INDENT=2]      Lcd "F7: OK "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "F7: NO "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 4 , 12[/INDENT]

[INDENT=2]   If G8 = 0 Then[/INDENT]

[INDENT=2]      Lcd "F8: OK "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "F8: NO "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A74541:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "  74HC541   Buffer  "[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   Inp1 Alias Portb.6[/INDENT]

[INDENT=2]   Inp2 Alias Portb.5[/INDENT]

[INDENT=2]   Inp3 Alias Portb.4[/INDENT]

[INDENT=2]   Inp4 Alias Portb.3[/INDENT]

[INDENT=2]   Inp5 Alias Portb.2[/INDENT]

[INDENT=2]   Inp6 Alias Porta.0[/INDENT]

[INDENT=2]   Inp7 Alias Porta.1[/INDENT]

[INDENT=2]   Inp8 Alias Portb.1[/INDENT]

[INDENT=2]   Oe1 Alias Portb.7[/INDENT]

[INDENT=2]   Oe2 Alias Portd.4[/INDENT]

[INDENT=2]   Exi1 Alias Pind.5[/INDENT]

[INDENT=2]   Exi2 Alias Pind.6[/INDENT]

[INDENT=2]   Exi3 Alias Pind.7[/INDENT]

[INDENT=2]   Exi4 Alias Pinc.0[/INDENT]

[INDENT=2]   Exi5 Alias Pinc.1[/INDENT]

[INDENT=2]   Exi6 Alias Pinc.2[/INDENT]

[INDENT=2]   Exi7 Alias Pind.3[/INDENT]

[INDENT=2]   Exi8 Alias Pind.2[/INDENT]

[INDENT=2]   Egnd3 Alias Porta.2[/INDENT]

[INDENT=2]   Waitms 400[/INDENT]

[INDENT=2]   Reset Inp1 : Reset Inp2 : Reset Inp3 : Reset Inp4 : Reset Inp5 : Reset Inp6 : Reset Inp7 : Reset Inp8 : Reset Oe1 : Reset Oe2 : Reset Egnd3[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exi1 = 1 Then G1 = 1[/INDENT]

[INDENT=2]   If Exi2 = 1 Then G2 = 1[/INDENT]

[INDENT=2]   If Exi3 = 1 Then G3 = 1[/INDENT]

[INDENT=2]   If Exi4 = 1 Then G4 = 1[/INDENT]

[INDENT=2]   If Exi5 = 1 Then G5 = 1[/INDENT]

[INDENT=2]   If Exi6 = 1 Then G6 = 1[/INDENT]

[INDENT=2]   If Exi7 = 1 Then G7 = 1[/INDENT]

[INDENT=2]   If Exi8 = 1 Then G8 = 1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Inp1[/INDENT]

[INDENT=2]   Set Inp2[/INDENT]

[INDENT=2]   Set Inp3[/INDENT]

[INDENT=2]   Set Inp4[/INDENT]

[INDENT=2]   Set Inp5[/INDENT]

[INDENT=2]   Set Inp6[/INDENT]

[INDENT=2]   Set Inp7[/INDENT]

[INDENT=2]   Set Inp8[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exi1 = 0 Then G1 = 1[/INDENT]

[INDENT=2]   If Exi2 = 0 Then G2 = 1[/INDENT]

[INDENT=2]   If Exi3 = 0 Then G3 = 1[/INDENT]

[INDENT=2]   If Exi4 = 0 Then G4 = 1[/INDENT]

[INDENT=2]   If Exi5 = 0 Then G5 = 1[/INDENT]

[INDENT=2]   If Exi6 = 0 Then G6 = 1[/INDENT]

[INDENT=2]   If Exi7 = 0 Then G7 = 1[/INDENT]

[INDENT=2]   If Exi8 = 0 Then G8 = 1[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "BF1 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "BF1 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 2 , 8[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "BF2 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "BF2 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 2 , 15[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "BF3 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "BF3 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "BF4 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "BF4 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 8[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "BF5 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "BF5 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 15[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "BF6 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "BF6 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 4 , 3[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "BF7 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "BF7 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 4 , 11[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "BF8 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "BF8 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  24 - PIN  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub A74154:[/INDENT]

[INDENT=2]   Cls[/INDENT]

[INDENT=2]   Lcd "74HC154  DEMULTIPLEX"[/INDENT]

[INDENT=2]   Call Paye[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   Ina0 Alias Portd.4[/INDENT]

[INDENT=2]   Ina1 Alias Portd.5[/INDENT]

[INDENT=2]   Ina2 Alias Portd.6[/INDENT]

[INDENT=2]   Ina3 Alias Portd.7[/INDENT]

[INDENT=2]   Ine0 Alias Portc.1[/INDENT]

[INDENT=2]   Ine1 Alias Portc.0[/INDENT]

[INDENT=2]   Exit1 Alias Pinb.7[/INDENT]

[INDENT=2]   Exit2 Alias Pinb.6[/INDENT]

[INDENT=2]   Exit3 Alias Pinb.5[/INDENT]

[INDENT=2]   Exit4 Alias Pinb.4[/INDENT]

[INDENT=2]   Exit5 Alias Pinb.3[/INDENT]

[INDENT=2]   Exit6 Alias Pinb.2[/INDENT]

[INDENT=2]   Exit7 Alias Pina.0[/INDENT]

[INDENT=2]   Exit8 Alias Pinc.4[/INDENT]

[INDENT=2]   Exit9 Alias Pinb.1[/INDENT]

[INDENT=2]   Exit11 Alias Pinb.0[/INDENT]

[INDENT=2]   Exit12 Alias Pind.0[/INDENT]

[INDENT=2]   Exit13 Alias Pind.1[/INDENT]

[INDENT=2]   Exit14 Alias Pind.2[/INDENT]

[INDENT=2]   Exit15 Alias Pind.3[/INDENT]

[INDENT=2]   Exit16 Alias Pinc.2[/INDENT]

[INDENT=2]   Egnd4 Alias Porta.3[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Ina0 : Reset Ina1 : Reset Ina2 : Reset Ina3 : Reset Ine0 : Reset Ine1       ' : Set Egnd4[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 1 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   Set Ina0[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 1 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Ina0[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Ina1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 1 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Ina0[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 1 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Ina0[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Ina1[/INDENT]

[INDENT=2]   Set Ina2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 1 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Ina0[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 1 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Ina0[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Ina1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 1 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Ina0[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 1 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Reset Ina0[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Ina1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Ina2[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Ina3[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 1 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Ina0[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Ina0[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Ina1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 1 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Ina0[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 1 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Ina0[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Ina1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Ina2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 1 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Ina0[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 1 Or Exit15 = 0 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Ina0[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Ina1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 1 Or Exit16 = 0 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Ina0[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 1 Then : G1 = 1 : Goto Demux1 : End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Set Ine0[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Ine1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Exit1 = 0 Or Exit2 = 0 Or Exit3 = 0 Or Exit4 = 0 Or Exit5 = 0 Or Exit6 = 0 Or Exit7 = 0 Or Exit8 = 0 Or Exit9 = 0 Or Exit11 = 0 Or Exit12 = 0 Or Exit13 = 0 Or Exit14 = 0 Or Exit15 = 0 Or Exit16 = 0 Then G1 = 1[/INDENT]

[INDENT=2]   Demux1:[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd " Demultipelexer  OK "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd " Demultipelexer  NO "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   Lcd "    IN: 18,...,23   "[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   Lcd "OUT:1,...,17  GND:12"[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  ALIAS  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub Gate2in:[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   2in1a Alias Portb.7[/INDENT]

[INDENT=2]   2in1b Alias Portb.6[/INDENT]

[INDENT=2]   2in2a Alias Portb.4[/INDENT]

[INDENT=2]   2in2b Alias Portb.3[/INDENT]

[INDENT=2]   2in3a Alias Portc.0[/INDENT]

[INDENT=2]   2in3b Alias Portd.7[/INDENT]

[INDENT=2]   2in4a Alias Portd.5[/INDENT]

[INDENT=2]   2in4b Alias Portd.4[/INDENT]

[INDENT=2]   2out1 Alias Pinb.5[/INDENT]

[INDENT=2]   2out2 Alias Pinb.2[/INDENT]

[INDENT=2]   2out3 Alias Pinc.1[/INDENT]

[INDENT=2]   2out4 Alias Pind.6[/INDENT]

[INDENT=2]   2outg Alias Porta.0[/INDENT]

[INDENT=2]   Waitms 400[/INDENT]

[INDENT=2]   Reset 2in1b : Reset 2in2a : Reset 2in1a : Reset 2in2b : Reset 2in3a : Reset 2in3b : Reset 2in4a : Reset 2in4b : Reset 2outg[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub Gate3in:[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   3in1a Alias Portb.7[/INDENT]

[INDENT=2]   3in1b Alias Portb.6[/INDENT]

[INDENT=2]   3in1c Alias Portd.4[/INDENT]

[INDENT=2]   3in2a Alias Portb.5[/INDENT]

[INDENT=2]   3in2b Alias Portb.4[/INDENT]

[INDENT=2]   3in2c Alias Portb.3[/INDENT]

[INDENT=2]   3in3a Alias Portc.0[/INDENT]

[INDENT=2]   3in3b Alias Portd.7[/INDENT]

[INDENT=2]   3in3c Alias Portd.6[/INDENT]

[INDENT=2]   3out1 Alias Pind.5[/INDENT]

[INDENT=2]   3out2 Alias Pinb.2[/INDENT]

[INDENT=2]   3out3 Alias Pinc.1[/INDENT]

[INDENT=2]   3outg Alias Porta.0[/INDENT]

[INDENT=2]   Waitms 400[/INDENT]

[INDENT=2]   Reset 3in1a : Reset 3in2a : Reset 3in2c : Reset 3in1b : Reset 3in2b : Reset 3in3a : Reset 3in3b : Reset 3in3c : Reset 3in1c : Reset 3outg[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub Gate4in:[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   4in1a Alias Portb.7[/INDENT]

[INDENT=2]   4in1b Alias Portb.6[/INDENT]

[INDENT=2]   4in1c Alias Portb.4[/INDENT]

[INDENT=2]   4in1d Alias Portb.3[/INDENT]

[INDENT=2]   4in2a Alias Portc.0[/INDENT]

[INDENT=2]   4in2b Alias Portd.7[/INDENT]

[INDENT=2]   4in2c Alias Portd.5[/INDENT]

[INDENT=2]   4in2d Alias Portd.4[/INDENT]

[INDENT=2]   4out1 Alias Pinb.2[/INDENT]

[INDENT=2]   4out2 Alias Pinc.1[/INDENT]

[INDENT=2]   4outg Alias Porta.0[/INDENT]

[INDENT=2]   Waitms 400[/INDENT]

[INDENT=2]   Reset 4in1a : Reset 4in1d : Reset 4in1b : Reset 4in1c : Reset 4in2a : Reset 4in2b : Reset 4in2c : Reset 4in2d : Reset 4outg[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  SHOW  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub Show2:[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 1 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G1: 1,2    OUT:3  OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G1: 1,2    OUT:3  NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G2 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G2: 4,5    OUT:6  OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G2: 4,5    OUT:6  NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   If G3 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G3: 9,10   OUT:8  OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G3: 9,10   OUT:8  NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   If G4 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G4: 12,13  OUT:11 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G4: 12,13  OUT:11 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub Show3:[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G1:1,2,13  OUT:12 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G1:1,2,13  OUT:12 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   If G2 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G2:3,4,5   OUT:6  OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G2:3,4,5   OUT:6  NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Locate 4 , 1[/INDENT]

[INDENT=2]   If G3 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G3:9,10,11 OUT:8  OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G3:9,10,11 OUT:8  NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub Show4:[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   Locate 2 , 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Lcd "G1:1,2,4,5  OUT:6 OK"[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "G1:1,2,4,5  OUT:6 NO"[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   Locate 3 , 1[/INDENT]

[INDENT=2]   If G2 = 0 Then[/INDENT]

[INDENT=2]      Lcd "   G2: 9,10,12,13   "[/INDENT]

[INDENT=2]      Locate 4 , 1[/INDENT]

[INDENT=2]      Lcd "     OUT:8   OK     "[/INDENT]

[INDENT=2]   Else[/INDENT]

[INDENT=2]      Lcd "   G2: 9,10,12,13   "[/INDENT]

[INDENT=2]      Locate 4 , 1[/INDENT]

[INDENT=2]      Lcd "     OUT:8   NO     "[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Call Res[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  TEST  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub Test2:[/INDENT]

[INDENT=2]   If C = 7400 Then[/INDENT]

[INDENT=2]      M1 = 0[/INDENT]

[INDENT=2]      M2 = 1[/INDENT]

[INDENT=2]      M3 = 0[/INDENT]

[INDENT=2]   Elseif C = 7408 Then[/INDENT]

[INDENT=2]      M1 = 1[/INDENT]

[INDENT=2]      M2 = 0[/INDENT]

[INDENT=2]      M3 = 1[/INDENT]

[INDENT=2]   Elseif C = 7402 Then[/INDENT]

[INDENT=2]      M1 = 1[/INDENT]

[INDENT=2]      M2 = 1[/INDENT]

[INDENT=2]      M3 = 0[/INDENT]

[INDENT=2]   Elseif C = 7486 Then[/INDENT]

[INDENT=2]      M1 = 0[/INDENT]

[INDENT=2]      M2 = 1[/INDENT]

[INDENT=2]      M3 = 1[/INDENT]

[INDENT=2]   Elseif C = 7432 Then[/INDENT]

[INDENT=2]      M1 = 0[/INDENT]

[INDENT=2]      M2 = 0[/INDENT]

[INDENT=2]      M3 = 1[/INDENT]

[INDENT=2]   Elseif C = 74180 Then[/INDENT]

[INDENT=2]      M1 = 1[/INDENT]

[INDENT=2]      M2 = 0[/INDENT]

[INDENT=2]      M3 = 0[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 2out1 = M3 Then G1 = 1[/INDENT]

[INDENT=2]   If 2out2 = M3 Then G2 = 1[/INDENT]

[INDENT=2]   If 2out3 = M3 Then G3 = 1[/INDENT]

[INDENT=2]   If 2out4 = M3 Then G4 = 1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set 2in1a[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set 2in2a[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set 2in3a[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set 2in4a[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 2out1 = M1 Then G1 = 1[/INDENT]

[INDENT=2]   If 2out2 = M1 Then G2 = 1[/INDENT]

[INDENT=2]   If 2out3 = M1 Then G3 = 1[/INDENT]

[INDENT=2]   If 2out4 = M1 Then G4 = 1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set 2in1b[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set 2in2b[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set 2in3b[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set 2in4b[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 2out1 = M2 Then G1 = 1[/INDENT]

[INDENT=2]   If 2out2 = M2 Then G2 = 1[/INDENT]

[INDENT=2]   If 2out3 = M2 Then G3 = 1[/INDENT]

[INDENT=2]   If 2out4 = M2 Then G4 = 1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset 2in1a[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset 2in2a[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset 2in3a[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset 2in4a[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 2out1 = M1 Then G1 = 1[/INDENT]

[INDENT=2]   If 2out2 = M1 Then G2 = 1[/INDENT]

[INDENT=2]   If 2out3 = M1 Then G3 = 1[/INDENT]

[INDENT=2]   If 2out4 = M1 Then G4 = 1[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub Test3:[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   If C = 7427 Then[/INDENT]

[INDENT=2]      M1 = 0[/INDENT]

[INDENT=2]      M2 = 1[/INDENT]

[INDENT=2]      M3 = 1[/INDENT]

[INDENT=2]   Elseif C = 7411 Then[/INDENT]

[INDENT=2]      M1 = 1[/INDENT]

[INDENT=2]      M2 = 1[/INDENT]

[INDENT=2]      M3 = 0[/INDENT]

[INDENT=2]   Elseif C = 7410 Then[/INDENT]

[INDENT=2]      M1 = 0[/INDENT]

[INDENT=2]      M2 = 0[/INDENT]

[INDENT=2]      M3 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If 3out1 = M1 Then G1 = 1[/INDENT]

[INDENT=2]   If 3out2 = M1 Then G2 = 1[/INDENT]

[INDENT=2]   If 3out3 = M1 Then G3 = 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set 3in1a[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out1 = M2 Then[/INDENT]

[INDENT=2]         G1 = 1[/INDENT]

[INDENT=2]         Goto J31[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 3in1a[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 3in1b[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out1 = M2 Then[/INDENT]

[INDENT=2]         G1 = 1[/INDENT]

[INDENT=2]         Goto J31[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 3in1b[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 3in1c[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out1 = M2 Then[/INDENT]

[INDENT=2]         G1 = 1[/INDENT]

[INDENT=2]         Goto J31[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set 3in1b[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 3in1a[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out1 = M3 Then G1 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   J31:[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If G2 = 0 Then[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set 3in2a[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out2 = M2 Then[/INDENT]

[INDENT=2]         G2 = 1[/INDENT]

[INDENT=2]         Goto J32[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 3in2a[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 3in2b[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out2 = M2 Then[/INDENT]

[INDENT=2]         G2 = 1[/INDENT]

[INDENT=2]         Goto J32[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 3in2b[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 3in2c[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out2 = M2 Then[/INDENT]

[INDENT=2]         G2 = 1[/INDENT]

[INDENT=2]         Goto J32[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set 3in2a[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 3in2b[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out2 = M3 Then G2 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   J32:[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If G3 = 0 Then[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set 3in3a[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out3 = M2 Then[/INDENT]

[INDENT=2]         G3 = 1[/INDENT]

[INDENT=2]         Goto J33[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 3in3a[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 3in3b[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out3 = M2 Then[/INDENT]

[INDENT=2]         G3 = 1[/INDENT]

[INDENT=2]         Goto J33[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 3in3b[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 3in3c[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out3 = M2 Then[/INDENT]

[INDENT=2]         G3 = 1[/INDENT]

[INDENT=2]         Goto J33[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set 3in3b[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 3in3a[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 3out3 = M3 Then G3 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   J33:[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub Test4:[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   If C = 7421 Then[/INDENT]

[INDENT=2]      M1 = 0[/INDENT]

[INDENT=2]      M2 = 1[/INDENT]

[INDENT=2]      M3 = 1[/INDENT]

[INDENT=2]   Elseif C = 7420 Then[/INDENT]

[INDENT=2]      M1 = 1[/INDENT]

[INDENT=2]      M2 = 0[/INDENT]

[INDENT=2]      M3 = 0[/INDENT]

[INDENT=2]   Elseif C = 744002 Then[/INDENT]

[INDENT=2]      M1 = 1[/INDENT]

[INDENT=2]      M2 = 1[/INDENT]

[INDENT=2]      M3 = 0[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If Out1 = M3 Then G1 = 1[/INDENT]

[INDENT=2]   If Out2 = M3 Then G2 = 1[/INDENT]

[INDENT=2]   If G1 = 0 Then[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set 4in1a[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 4out1 = M2 Then[/INDENT]

[INDENT=2]         G1 = 1[/INDENT]

[INDENT=2]         Goto J41[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 4in1a[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in1b[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 4out1 = M2 Then[/INDENT]

[INDENT=2]         G1 = 1[/INDENT]

[INDENT=2]         Goto J41[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 4in1b[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in1c[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 4out1 = M2 Then[/INDENT]

[INDENT=2]         G1 = 1[/INDENT]

[INDENT=2]         Goto J41[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 4in1c[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in1d[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 4out1 = M2 Then[/INDENT]

[INDENT=2]         G1 = 1[/INDENT]

[INDENT=2]         Goto J41[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set 4in1b[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in1a[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in1c[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in1d[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 4out1 = M1 Then G1 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   J41:[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   If G2 = 0 Then[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set 4in2a[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 4out2 = M2 Then[/INDENT]

[INDENT=2]         G2 = 1[/INDENT]

[INDENT=2]         Goto J42[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 4in2a[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in2b[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 4out2 = M2 Then[/INDENT]

[INDENT=2]         G2 = 1[/INDENT]

[INDENT=2]         Goto J42[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 4in2b[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in2c[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 4out2 = M2 Then[/INDENT]

[INDENT=2]         G2 = 1[/INDENT]

[INDENT=2]         Goto J42[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Reset 4in2c[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in2d[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 4out2 = M2 Then[/INDENT]

[INDENT=2]         G2 = 1[/INDENT]

[INDENT=2]         Goto J42[/INDENT]

[INDENT=2]      End If[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      Set 4in2a[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in2b[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in2c[/INDENT]

[INDENT=2]      Waitms 10[/INDENT]

[INDENT=2]      Set 4in2d[/INDENT]

[INDENT=2]      Waitms 200[/INDENT]

[INDENT=2]      If 4out2 = M1 Then G2 = 1[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   J42:[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub Chek:[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]   Set Clk1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Set Clk2[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   Reset Clk1[/INDENT]

[INDENT=2]   Waitms 10[/INDENT]

[INDENT=2]   Reset Clk2[/INDENT]

[INDENT=2]   Waitms 100[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  KBD  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Data1:[/INDENT]

[INDENT=2]Data , 1 , 2 , 3 , 4 , 5 , 6 , 7 , 8 , 9 , 23 , 0 , 24[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'---------------------------------------------------------[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]'/\/\/\/\/\/\/\/\/\/\/\/\/\/\  PORT  /\/\/\/\/\/\/\/\/\/\/\/\/\[/INDENT]

[INDENT=2]Sub Paye:[/INDENT]

[INDENT=2]   Waitms 200[/INDENT]

[INDENT=2]   G1 = 0 : G2 = 0 : G3 = 0 : G4 = 0 : G5 = 0 : G6 = 0 : G7 = 0 : G8 = 0[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]      If C = 7404 Then[/INDENT]

[INDENT=2]      Config Pinb.6 = Input[/INDENT]

[INDENT=2]      Config Pinb.4 = Input[/INDENT]

[INDENT=2]      Config Pinb.2 = Input[/INDENT]

[INDENT=2]      Config Pinc.1 = Input[/INDENT]

[INDENT=2]      Config Pind.7 = Input[/INDENT]

[INDENT=2]      Config Pind.5 = Input[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portc.0 = Output[/INDENT]

[INDENT=2]      Config Portd.6 = Output[/INDENT]

[INDENT=2]      Config Portd.4 = Output[/INDENT]

[INDENT=2]      Config Porta.0 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 7402 Then[/INDENT]

[INDENT=2]      Config Pinb.7 = Input[/INDENT]

[INDENT=2]      Config Pinb.4 = Input[/INDENT]

[INDENT=2]      Config Pind.7 = Input[/INDENT]

[INDENT=2]      Config Pind.4 = Input[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portb.2 = Output[/INDENT]

[INDENT=2]      Config Portc.0 = Output[/INDENT]

[INDENT=2]      Config Portc.1 = Output[/INDENT]

[INDENT=2]      Config Portd.5 = Output[/INDENT]

[INDENT=2]      Config Portd.6 = Output[/INDENT]

[INDENT=2]      Config Porta.0 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 744002 Then[/INDENT]

[INDENT=2]      Config Pinb.7 = Input[/INDENT]

[INDENT=2]      Config Pind.4 = Input[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portc.0 = Output[/INDENT]

[INDENT=2]      Config Portd.7 = Output[/INDENT]

[INDENT=2]      Config Portd.6 = Output[/INDENT]

[INDENT=2]      Config Portd.5 = Output[/INDENT]

[INDENT=2]      Config Porta.0 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 74595 Then[/INDENT]

[INDENT=2]      Config Pind.4 = Input[/INDENT]

[INDENT=2]      Config Pinb.7 = Input[/INDENT]

[INDENT=2]      Config Pinb.6 = Input[/INDENT]

[INDENT=2]      Config Pinb.5 = Input[/INDENT]

[INDENT=2]      Config Pinb.4 = Input[/INDENT]

[INDENT=2]      Config Pinb.3 = Input[/INDENT]

[INDENT=2]      Config Pinb.2 = Input[/INDENT]

[INDENT=2]      Config Pina.0 = Input[/INDENT]

[INDENT=2]      Config Pinc.2 = Input[/INDENT]

[INDENT=2]      Config Portc.1 = Output[/INDENT]

[INDENT=2]      Config Portc.0 = Output[/INDENT]

[INDENT=2]      Config Portd.7 = Output[/INDENT]

[INDENT=2]      Config Portd.6 = Output[/INDENT]

[INDENT=2]      Config Portd.5 = Output[/INDENT]

[INDENT=2]      Config Porta.1 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 74148 Then[/INDENT]

[INDENT=2]      Config Pinc.2 = Input[/INDENT]

[INDENT=2]      Config Pina.0 = Input[/INDENT]

[INDENT=2]      Config Pinb.2 = Input[/INDENT]

[INDENT=2]      Config Porta.1 = Output[/INDENT]

[INDENT=2]      Config Portc.1 = Output[/INDENT]

[INDENT=2]      Config Portc.0 = Output[/INDENT]

[INDENT=2]      Config Portd.7 = Output[/INDENT]

[INDENT=2]      Config Portd.6 = Output[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 74259 Then[/INDENT]

[INDENT=2]      Config Pinb.4 = Input[/INDENT]

[INDENT=2]      Config Pinb.3 = Input[/INDENT]

[INDENT=2]      Config Pinb.2 = Input[/INDENT]

[INDENT=2]      Config Pina.0 = Input[/INDENT]

[INDENT=2]      Config Pinc.2 = Input[/INDENT]

[INDENT=2]      Config Pinc.1 = Input[/INDENT]

[INDENT=2]      Config Pinc.0 = Input[/INDENT]

[INDENT=2]      Config Pind.7 = Input[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portd.6 = Output[/INDENT]

[INDENT=2]      Config Portd.4 = Output[/INDENT]

[INDENT=2]      Config Portd.5 = Output[/INDENT]

[INDENT=2]      Config Porta.1 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 74194 Then[/INDENT]

[INDENT=2]      Config Pind.4 = Input[/INDENT]

[INDENT=2]      Config Pind.5 = Input[/INDENT]

[INDENT=2]      Config Pind.6 = Input[/INDENT]

[INDENT=2]      Config Pind.7 = Input[/INDENT]

[INDENT=2]      Config Portb.2 = Output[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Porta.0 = Output[/INDENT]

[INDENT=2]      Config Porta.1 = Output[/INDENT]

[INDENT=2]      Config Portc.2 = Output[/INDENT]

[INDENT=2]      Config Portc.1 = Output[/INDENT]

[INDENT=2]      Config Portc.0 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 74147 Then[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Portb.2 = Input[/INDENT]

[INDENT=2]      Config Porta.0 = Input[/INDENT]

[INDENT=2]      Config Porta.1 = Output[/INDENT]

[INDENT=2]      Config Portc.2 = Input[/INDENT]

[INDENT=2]      Config Portc.1 = Output[/INDENT]

[INDENT=2]      Config Portc.0 = Output[/INDENT]

[INDENT=2]      Config Portd.7 = Output[/INDENT]

[INDENT=2]      Config Portd.6 = Output[/INDENT]

[INDENT=2]      Config Portd.5 = Input[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 7447 Or C = 7448 Then[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Portb.2 = Output[/INDENT]

[INDENT=2]      Config Porta.0 = Output[/INDENT]

[INDENT=2]      Config Porta.1 = Output[/INDENT]

[INDENT=2]      Config Portc.2 = Input[/INDENT]

[INDENT=2]      Config Portc.1 = Input[/INDENT]

[INDENT=2]      Config Portc.0 = Input[/INDENT]

[INDENT=2]      Config Portd.7 = Input[/INDENT]

[INDENT=2]      Config Portd.6 = Input[/INDENT]

[INDENT=2]      Config Portd.5 = Input[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 74109 Then[/INDENT]

[INDENT=2]      Config Pinb.2 = Input[/INDENT]

[INDENT=2]      Config Pina.0 = Input[/INDENT]

[INDENT=2]      Config Pinc.1 = Input[/INDENT]

[INDENT=2]      Config Pinc.2 = Input[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portd.5 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]      Config Portd.7 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portd.6 = Output[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portc.0 = Output[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Portd.4 = Output[/INDENT]

[INDENT=2]      Config Porta.1 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 74573 Then[/INDENT]

[INDENT=2]      Config Pind.4 = Input[/INDENT]

[INDENT=2]      Config Pind.5 = Input[/INDENT]

[INDENT=2]      Config Pind.6 = Input[/INDENT]

[INDENT=2]      Config Pind.7 = Input[/INDENT]

[INDENT=2]      Config Pinc.0 = Input[/INDENT]

[INDENT=2]      Config Pinc.1 = Input[/INDENT]

[INDENT=2]      Config Pinc.2 = Input[/INDENT]

[INDENT=2]      Config Pind.3 = Input[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portb.2 = Output[/INDENT]

[INDENT=2]      Config Porta.0 = Output[/INDENT]

[INDENT=2]      Config Porta.1 = Output[/INDENT]

[INDENT=2]      Config Portb.1 = Output[/INDENT]

[INDENT=2]      Config Portd.2 = Output[/INDENT]

[INDENT=2]      Config Porta.2 = Output[/INDENT]

[INDENT=2]      Config Portc.3 = Output[/INDENT]

[INDENT=2]      Config Portc.4 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 74541 Then[/INDENT]

[INDENT=2]      Config Pind.5 = Input[/INDENT]

[INDENT=2]      Config Pind.6 = Input[/INDENT]

[INDENT=2]      Config Pind.7 = Input[/INDENT]

[INDENT=2]      Config Pinc.0 = Input[/INDENT]

[INDENT=2]      Config Pinc.1 = Input[/INDENT]

[INDENT=2]      Config Pinc.2 = Input[/INDENT]

[INDENT=2]      Config Pind.3 = Input[/INDENT]

[INDENT=2]      Config Pind.2 = Input[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portb.2 = Output[/INDENT]

[INDENT=2]      Config Porta.0 = Output[/INDENT]

[INDENT=2]      Config Porta.1 = Output[/INDENT]

[INDENT=2]      Config Portb.1 = Output[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Portd.4 = Output[/INDENT]

[INDENT=2]      Config Porta.2 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 74154 Then[/INDENT]

[INDENT=2]      Config Pinb.7 = Input[/INDENT]

[INDENT=2]      Config Pinb.6 = Input[/INDENT]

[INDENT=2]      Config Pinb.5 = Input[/INDENT]

[INDENT=2]      Config Pinb.4 = Input[/INDENT]

[INDENT=2]      Config Pinb.3 = Input[/INDENT]

[INDENT=2]      Config Pinb.2 = Input[/INDENT]

[INDENT=2]      Config Pina.0 = Input[/INDENT]

[INDENT=2]      Config Pinc.4 = Input[/INDENT]

[INDENT=2]      Config Pinb.1 = Input[/INDENT]

[INDENT=2]      Config Pina.2 = Input[/INDENT]

[INDENT=2]      Config Pinb.0 = Input[/INDENT]

[INDENT=2]      Config Pind.0 = Input[/INDENT]

[INDENT=2]      Config Pind.1 = Input[/INDENT]

[INDENT=2]      Config Pind.2 = Input[/INDENT]

[INDENT=2]      Config Pind.3 = Input[/INDENT]

[INDENT=2]      Config Pinc.2 = Input[/INDENT]

[INDENT=2]      Config Portd.4 = Output[/INDENT]

[INDENT=2]      Config Portd.5 = Output[/INDENT]

[INDENT=2]      Config Portd.6 = Output[/INDENT]

[INDENT=2]      Config Portd.7 = Output[/INDENT]

[INDENT=2]      Config Portc.1 = Output[/INDENT]

[INDENT=2]      Config Portc.0 = Output[/INDENT]

[INDENT=2]      Config Porta.3 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 74810 Or C = 7432 Or C = 7486 Or C = 7400 Or C = 7408 Then[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portc.0 = Output[/INDENT]

[INDENT=2]      Config Portd.7 = Output[/INDENT]

[INDENT=2]      Config Portd.4 = Output[/INDENT]

[INDENT=2]      Config Portd.5 = Output[/INDENT]

[INDENT=2]      Config Porta.0 = Output[/INDENT]

[INDENT=2]      Config Pinb.5 = Input[/INDENT]

[INDENT=2]      Config Pinb.2 = Input[/INDENT]

[INDENT=2]      Config Pinc.1 = Input[/INDENT]

[INDENT=2]      Config Pind.6 = Input[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 7427 Or C = 7410 Or C = 7411 Then[/INDENT]

[INDENT=2]      Config Pind.5 = Input[/INDENT]

[INDENT=2]      Config Pinb.2 = Input[/INDENT]

[INDENT=2]      Config Pinc.1 = Input[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]      Config Portb.5 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portc.0 = Output[/INDENT]

[INDENT=2]      Config Portd.7 = Output[/INDENT]

[INDENT=2]      Config Portd.6 = Output[/INDENT]

[INDENT=2]      Config Porta.0 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]   If C = 7420 Or C = 7421 Then[/INDENT]

[INDENT=2]      Config Pinb.2 = Input[/INDENT]

[INDENT=2]      Config Pinc.1 = Input[/INDENT]

[INDENT=2]      Config Portb.7 = Output[/INDENT]

[INDENT=2]      Config Portb.6 = Output[/INDENT]

[INDENT=2]      Config Portb.4 = Output[/INDENT]

[INDENT=2]      Config Portb.3 = Output[/INDENT]

[INDENT=2]      Config Portd.4 = Output[/INDENT]

[INDENT=2]      Config Portd.5 = Output[/INDENT]

[INDENT=2]      Config Portd.7 = Output[/INDENT]

[INDENT=2]      Config Portd.0 = Output[/INDENT]

[INDENT=2]      Config Porta.0 = Output[/INDENT]

[INDENT=2]   End If[/INDENT]

[INDENT=2]   Waitms 300[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]

[INDENT=2]Sub Res:[/INDENT]

[INDENT=2]   Do[/INDENT]

[INDENT=2]      A = Getadc(4)[/INDENT]

[INDENT=2]      Wait 1[/INDENT]

[INDENT=2]      If A < 322 And A > 318 Then : Cls : Goto First : End If[/INDENT]

[INDENT=2]      If A < 435 And A > 425 Then : Cls : Goto Rechek : End If[/INDENT]

[INDENT=2]   Loop[/INDENT]

[INDENT=2]End Sub[/INDENT]

[INDENT=2]
[/INDENT]
[/LEFT]
 
آخرین ویرایش:
بالا